More

    Tokyo Electron Limited (TEL)

    Tokyo Electron Limited (TEL) history, profile and corporate video

       Tokyo Electron Limited (TEL) is a Japanese electronics and semiconductor company headquartered in Akasaka, Minato-ku, Tokyo, Japan. Established in 1963 as Tokyo Electron Laboratories, Inc., the company was founded as an affiliate of Tokyo Broadcasting System, Inc, with a capital of five million yen.

       In 1978, Tokyo Electron Laboratories, Inc. was renamed Tokyo Electron Ltd.

       In 2013, Tokyo Electron and Applied Materials announced a merger, forming a new company called Eteris, which would have been one of the world’s largest suppliers of semiconductor processing equipment.

       In 2015, the merger was canceled due to antitrust concerns in the United States.


    GROUP COMPANIES

    Japan

    • Tokyo Electron Technology Solutions Ltd. – Development and manufacturing of thermal processing system, single wafer deposition system, gas chemical etch system, test system, and FPD plasma etch/ash system
    • Tokyo Electron Kyushu Ltd. – Development and manufacturing of coater/developer, surface preparation system, and FPD coater/developer
    • Tokyo Electron Miyagi Ltd. – Development and manufacturing of plasma etch system
    • Tokyo Electron FE Ltd. – Field support (start-up, adjustment, and modification, etc.)
    • Tokyo Electron BP Ltd. – Delivery, facility management
    • Tokyo Electron Agency Ltd. – Non-life insurance agency
    • Tokyo Electron Device Ltd. – Sales of semiconductor products, board computer products, software and other electronic components, as well as network/storage/middleware related solutions.

    U.S.

    • Tokyo Electron U.S. Holdings, Inc. – U.S. Headquarters and holding company for TEL U.S. subsidiaries
    • Tokyo Electron America, Inc. – U.S. Sales & Service Headquarters
    • TEL Technology Center, America, LLC – R&D for semiconductor production equipment
    • TEL Venture Capital, Inc. – Investments focusing on promising technological innovations
    • TEL Manufacturing and Engineering of America, Inc. – Development, manufacturing, and sales of surface preparation system, gas cluster ion beam (GCIB) technology for diverse semiconductor applications and emerging nanotechnology markets

    Europe

    • Tokyo Electron Europe Ltd. – European Sales & Service Headquarters
    • TEL Solar Services AG – Field Support of photovoltaic panel production equipment
    • TEL Magnetic Solutions Ltd. – Development, manufacturing and sales of magnetic annealing system
    • Tokyo Electron Israel Ltd. – Service and sales support in Israel

    Asia

    • Tokyo Electron Korea Ltd. – R&D for semiconductor production equipment, and service and sales support in Taiwan in Korea
    • Tokyo Electron Taiwan Ltd. – R&D for semiconductor production equipment, and service and sales support in Taiwan
    • Tokyo Electron (Shanghai) Ltd. – Service and sales support in China
    • Tokyo Electron (Shanghai) Logistic Center Ltd. – Distribution of parts in China
    • Tokyo Electron (Kunshan) Ltd. – Manufacturing and parts repair of FPD production equipment
    • Tokyo Electron Singapore Pte. Ltd. – Sales and services support in Singapore and South East Asia area
    • Tokyo Electron (Malaysia) Sdn. Bhd. – Sales and services support in Malaysia
    • Tokyo Electron India Private Ltd. – Marketing in India

       With more than 13 000 employees, Tokyo Electron Limited (TEL) is considered one of the largest manufacturers of IC and FPD production equipment. According to Forbes, it is considered one of the top 2000 largest public companies in the world.

    *Information from Forbes.com, Wikipedia.org, and www.tel.com.

    - Advertisement -

    **Video published on YouTube by “TEL“.

    Advertisment

    Advertisment

    Related videos

    Advertisment

    Advertisment